Forumi
Home Pravila i pomoć Članovi Kalendar Današnji postovi


Povratak   PC Ekspert Forum > Računala > Intel
Ime
Lozinka

Odgovori
 
Uređivanje
Staro 19.04.2025., 10:41   #6211
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
Citiraj:
Autor maXonja Pregled postova
Intel se još uopće koristi u desktop pc-evima? Tko to kupuje?
Najgore je kaj se i dalje ponašaju kao da je još uvijek 2006., pa sljedeći tjedan izbacuju Conroe koji će pomesti sve pred sobom, ali ovaj put na 18A procesu.

I ove tragikomedije s opet novim socketom i čipsetom dodatno podižu povjerenje u nadolazeću platformu, koja vrlo lako može ispasti i završiti isto ko i Arrow Lake.
EDIT:
Citiraj:
Intel details next-gen 18A fab tech: significantly more performance, lower power, higher density
Citiraj:
Intel is set to detail (PDF) the advantages of its 18A manufacturing technology (1.8nm-class) compared to its Intel 3 fabrication process at the upcoming VLSI Symposium 2025. As expected, the new production node will offer substantial benefits across power, performance, and area (PPA) metrics, thus providing tangible advantages both for client and data center products. Intel claims that its 18A fabrication process delivers 25% more performance at the same voltage (1.1V) and complexity, as well as 36% lower power at the same frequency and voltage of 1.1V for a standard Arm core sub-block compared to the same block fabricated on Intel 3 process technology. At a lower voltage (0.75V), Intel 18A provides 18% higher performance and 38% lower power. In addition, 18A consistently achieves 0.72X area scaling compared to Intel 3.

Intel's 18A manufacturing technology is the company's first node to rely on gate-all-around (GAA) RibbonFET transistors and feature PowerVia backside power delivery network (BSPDN), two features that enable major PPA advantages. Intel is reportedly on track to start high-volume manufacturing of compute chiplets for its codenamed Panther Lake processors for client PCs later this year and then chiplets for Clearwater Forest data center systems in early 2026. In addition, the company is on track to tape out the first third-party designs on 18A in mid-2025.
Izvor: Tom's Hardware
Citiraj:
Intel's "Nova Lake" processors reportedly slated for TSMC's 2nm node
Citiraj:
TSMC is pushing forward its plans to make 2 nm process chips in large quantities in the second half of the year, with major customer developments coming to light. After AMD placed its order, reports suggest Intel has also become one of TSMC's first 2 nm customers aiming to use this cutting-edge technology for its next-gen desktop processors. Intel, already a big TSMC customer for advanced processes sent out key compute tiles for its Core Ultra processors to TSMC using different processes like N3B, N5P, and N6. To be exact, these were Intel Core Ultra 200V "Lunar Lake" series laptop processors and Core Ultra 200S "Arrow Lake" series. While both companies didn't comment on the latest news, industry talk hints that they're cooperating on

Intel's upcoming Nova Lake desktop processor set to launch next year (rumors suggest that it could be the Compute Tile). With the codename "NVL-S" Nova Lake combines two groups of eight high-performance "Coyote Cove" P-cores with 16 "Arctic Wolf" E-cores. It also includes four ultra-low-power LPE cores in a separate SoC tile. It is expected that Nova Lake-S will use LGA 1954, which has 1,954 active lands and might have more than 2,000 total pads when you count debug pins.

TSMC's work on 2 nm technology is moving forward as expected. The company uses first-generation nanochip transistor technology to boost performance and reduce power consumption across process nodes with big clients finishing designing silicon IPs and starting validation steps. AMD shared that its next EPYC "Venice" chip will be the first high-performance computing processor to use TSMC's 2 nm process. AMD validated it at TSMC's Arizona plant and is on track to launch it in 2026. Also, word has it that Apple's future iPhone 18 lineup will have its A20 chip made with the same TSMC 2 nm process.
Izvor: TechPowerUp
Citiraj:
Intel to announce a 20% workforce cut this week
Citiraj:
Intel is preparing to announce plans to cut over 20% of its workforce this week in an attempt to reduce costs and cut down bureaucracy at the chipmaker, reports Bloomberg citing a source with knowledge of the matter. The move to eliminate over 20,000 positions from Intel is a part of the company's revival plan designed by chief executive Lip-Bu Tan. The restructuring aims to simplify operations and refocus the company on engineering drive culture after prolonged business challenges, according to the report. Lip-Bu Tan, who took leadership of the company last month, is pushing to purge excessive layers of management, eliminate redundant positions, and shift Intel back toward a product-focused approach while concentrating on key projects.

This round of layoffs follows a previous reduction of approximately 15,000 positions announced in August 2024. The reductions primarily affected non-engineering roles, including administrative staff, sales, marketing, and support functions. Manufacturing roles and key engineering teams were largely preserved during this round (according to media reports), as Intel pins a lot of hopes on its foundry ambitions and needs to develop competitive products. For now, it is unclear which positions will be cut this time. Intel had 108,900 employees as of December 28, 2024 (which included several thousands of Altera employees who are now employed by an independent company co-owned by Intel and Silver Lake), so the plan includes eliminating over 20,000 positions, a number that exceeds all mid-tier management positions at the company.

It is unclear whether this time the company will cut the number of engineers and projects that they are working on, though this looks like a likely scenario. Intel's quarterly results will offer the clearest look yet at new CEO Lip-Bu Tan's turnaround strategy for the embattled American chipmaker, and investors are hoping for early signs that he is reversing years of strategic lapses. The company is set to post its fourth consecutive quarterly revenue drop on Thursday.
Izvor: Tom's Hardware
Citiraj:
Intel CEO announces layoffs, restructuring, $1.5 billion in cost reductions, expanded return to office mandate
Citiraj:
Intel CEO Lip Bu-Tan announced a series of sweeping measures today, including an unspecified number of layoffs, a company restructuring, the elimination of non-core products, and a return-to-office mandate, as the company presented its first-quarter earnings report. Tan has only been at the helm of Intel for five weeks, but his core message is that the transformation of Intel's culture will be an extended process and requires eliminating the "bureaucracy suffocating the innovation and agility that we need to win."

Intel has not yet specified the number of employees it expects to lay off in the coming months, but did say the company will begin the adjustments in Q2 and will do so over several months. Intel last laid off 15% of its workforce, approximately 15,000 employees, in August 2024. It has been rumored that Intel plans to lay off 20% of its workforce in this round, which could equate to nearly 20,000 more employees. Intel is also reducing its operating expense target by $1.5 billion over the next two years. Intel will reduce its operating expenses to $17 billion in 2025, a $ 500 million cut, and aim for $16 billion in 2026, a further $1 billion reduction.

Tan restructured the upper echelon of the management team late last week, but Tan says he will continue to eliminate more layers of the management structure, noting that "many teams are eight or more layers deep, which creates unnecessary bureaucracy that slows us down." He also noted that one of the key KPIs for managers at Intel has been the size of their team, but he will eliminate that strategy, instead focusing on creating a leaner and more efficient structure.

Tan also noted that the current policy, which requires employees to be on site for three days per week, has not been followed consistently. The company will now require all employees to be in the office for four days per week, effective September 1. The focus on efficiency will also extend to significantly reducing internal administrative work, including eliminating unnecessary meetings and attendees. While Tan has only shared the broad outlines of his plans, they are expansive.

"I’m talking about the opportunity to fundamentally reinvent an industry icon. To pull off a comeback that will be studied in business schools for generations to come. To create new technologies and deploy them at scale to change the world for the better," Tan said. "It’s going to be hard. It will require painful decisions. But we will make them knowing it’s what we must do to serve our customers better as we build a new Intel for the future – and I have great confidence in the power of our team and our people to make it happen."
Izvor: Tom's Hardware
Citiraj:
Intel's biggest foundry customer is Intel itself — fueled by "Intel 7" node
Citiraj:
Intel just reported its Q1 revenue results, and there are interesting tidbits to dissect from the earnings call. Interestingly, Intel Foundry, long touted for a comeback, is generating most of its revenue from one customer and not the latest node. Intel's biggest customer is actually itself, with the predominant usage of the "Intel 7" node, which is Intel's version of 10 nm SuperFin node designed for "Alder Lake" and "Raptor Lake" consumer CPU generations, and "Sapphire Rapids" Xeon server generation. As Intel ramps up its 18A node production and external clients are getting their ASICs designs tested, the 18A is still not the major revenue driver of the Intel Foundry division. However, the demand for Intel 7 node is driven by the massive uptick in orders for Intel's 13th and 14th generation Raptor Lake processors. Tan on Thursday gave glimpses of his plans to reanimate Intel's culture of innovation by focusing on core engineering, stripping away unnecessary administrative work and cutting workforce. Tan did not provide much detail on how he will restore Intel's leadership position in manufacturing, nor on his plans to attract more external customers to the company's foundry. Tan remains focused on the contract manufacturing business and has recently met rival TSMC's CEO to discuss how the two companies could collaborate.
Izvor: TechPowerUp
Citiraj:
“No Quick Fixes” as Intel losses and restructurings continue
Citiraj:
Intel’s new chief executive officer, Lip-Bu Tan, has his work cut out for him, just like his predecessor, Pat Gelsinger, did several years ago. And given the even worse state that Intel is in – generating less cash on lower sales and therefore making it that much harder to raise cash to invest in the foundry that can make better chips in the future – Tan is in a might tighter spot than Gelsinger ever was. That said, the situation with Intel right now is not pretty, even if it will improve financially with layoffs and a flattening of the hierarchy of the company that Tan is now imposing and that could result in as much as 20 percent of the company being laid off on top of the 15 percent that have already been let go.

By the time Intel fixes this, half of the world’s CPUs will be homegrown arm chips being manufactured by the hyperscalers and cloud builders, and the remaining half could be split evenly between Intel and AMD. A decade ago, Intel had north of 97 percent revenue share for server CPUs. And it really does not have an AI accelerator that it can afford to make in volume and sell at a competitive price that customers want to buy, after two such attempts so far: the “Knights” family of many-core HPC processors from a decade ago and what we will call the Xe family of GPUs that have been installed in the “Aurora” supercomputer at Argonne National Laboratory and pretty much nowhere else. The latter was supposed to be converged with the “Gaudi” family of AI accelerators about now with “Falcon Shores” devices, and now the future is being pinned by an as-yet undefined “Jaguar Shores” accelerator further out in the future.
Izvor: The Next Platform
Citiraj:
Intel Foundry Roadmap Update - New 18A-PT variant that enables 3D die stacking, 14A process node enablement
Citiraj:
Intel's new CEO Lip Bu-Tan took to the stage at the company's Intel Foundry Direct 2025 event here in San Jose, California, to outline the company's progress on its foundry initiative. Tan announced that the company is now engaging lead customers for its upcoming 14A process node (1.4nm equivalent), the follow-on generation of its 18A process node. Intel already has several customers with plans to tape out 14A test chips, which now come with an enhanced version of the company's backside power delivery technology dubbed PowerDirect. Tan also revealed that the company's crucial 18A node is now in risk production with volume manufacturing on schedule for later this year.

Intel also revealed that its new 18A-P extension, a high-performance variant of the 18A node, is now running through the fab with early wafers. Additionally, the company is developing a new 18A-PT variant that supports Foveros Direct 3D with hybrid bonding interconnects, enabling the company to stack dies vertically on top of its most advanced leading-edge node. The Foveros Direct 3D technology is a key development because it provides a capability that rival TSMC already uses in production, most famously in AMD's 3D V-Cache products. In fact, Intel's implementation matches TSMC's offering in critical interconnect density measurements.
Izvor: Tom's Hardware
Citiraj:
Intel has limited customer commitments for latest chip manufacturing tech, CFO says
Citiraj:
The volume of processors Intel is set to produce for external customers using its upcoming manufacturing technology is currently "not significant", finance chief David Zinsner said on Tuesday. Committed volumes, or the amount of external customers' chips set to be produced by Intel using upcoming manufacturing tech, is presently not significant, Zinsner said at J.P. Morgan's Global Technology, Media and Communications conference being held in Boston, Massachusetts. Santa Clara, California-based Intel is striving to become a contract manufacturer of chips, but has struggled to progress with its 18A and latest 14A chip manufacturing technologies. However, last month the company said several customers planned to build test chips for the forthcoming process. "We get test chips, and then some customers fall out of the test chips... So committed volume is not significant right now, for sure," Zinsner said. The contract manufacturing unit, called foundry, is on track to break-even sometime in 2027 and would require external customers to generate low to mid-single digit billions in revenue to achieve that, Zinsner added.
Izvor: Reuters
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5

Zadnje izmijenjeno od: The Exiled. 14.05.2025. u 08:37.
The Exiled je online   Reply With Quote
Staro 21.05.2025., 11:25   #6212
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
Citiraj:
Intel explores sale of networking and edge unit
Citiraj:
Intel has considered divesting its network and edge businesses as the chipmaker looks to shave off parts of the company its new chief executive does not see as crucial.
Talks about the potential sale of the group, once called NEX in Intel’s financial results, are a part of CEO Lip-Bu Tan’s strategy to focus its tens of thousands of employees on areas in which it has historically thrived: PC and data center chips. "That’s something we’re going to expand and build on," Tan told executives in Taipei on Monday at a celebration of Intel’s 40th year, adding that the firm had a share of about 68% of the PC chip market and 55% of that for data centers.

Intel has considered when and how to exit its NEX group and engaged third parties who may be interested in a deal. But it has not yet launched a formal deal process for the NEX unit, or solicited bidders. The company interviewed investment bankers to select an adviser for the sales process in recent weeks. But Intel has not yet hired a banker. Intel is exploring the idea of a sale because the businesses in its former NEX group no longer appear as relevant to the focus Tan has adopted for the company. The unit which makes chips for telecom equipment will no longer help Intel’s core strategy.

Intel’s networking business too may be sold, because companies such as Broadcom have a tight grip on crucial portions of that market. Intel has discussed the portfolio of businesses with the general aim of deciding if it would make strategic sense to partner with another company or sell a stake in it. The discussions within Intel are at an early stage and the company could decide to make other arrangements besides a sale for the NEX businesses. In the year's first quarter, Intel moved the NEX financial results into its data center and PC groups and now does not report the segment separately. The NEX group generated revenue of $5.8 billion in 2024, securities filings show.

Though Tan has told investors Intel plans to focus efforts on core operations that have historically been its largest revenue generators, the company has suffered significant losses in its share in the data center and PC areas. AMD Ryzen CPU market share up to 34%, EPYC server share at 39% by Mercury Research.
Izvor: Reuters
Citiraj:
Intel Core Ultra "Panther Lake" processor hands-on and up close at Computex 2025
Citiraj:
Intel at the 2025 Computex showed off its next-generation Core Ultra Series 3 processor, codenamed "Panther Lake." The mobile H-segment version of the chip comes with a slender rectangular package similar to "Arrow Lake-H" and "Meteor Lake." It is a tile-based disaggregated processor, but comes in a unique arrangement of tiles we haven't seen with the past two generations. The chip is dominated by two large tiles, one is very likely the Graphics + SoC tile, the smaller of the two being the Compute tile with the CPU cores, and the slender rectangular tiles being related to I/O. As for IP, "Panther Lake" introduces a new generation iGPU powered by the Xe3 "Celestial" graphics architecture.

The CPU complex consists of "Cougar Cove" P-cores, and "Darkmont" E-cores, both of which are expected to provide generational IPC improvements over the current "Lion Cove" and "Skymont." At Computex, we not only got to go hands-on with the mobile packages of "Panther Lake," but also see the chip in action, installed on a testing and platform validation system. The engineering goal with "Panther Lake," Intel says, is to offer efficiency similar to "Lunar Lake," but with performance generationally exceeding "Arrow Lake-H." The Compute tile is expected to be built on the new Intel 18A foundry node. Intel says "Panther Lake" is on track for mass-production in the second half of 2025, with the first consumer products expected at CES 2026.
Izvor: TechPowerUp
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5
The Exiled je online   Reply With Quote
Staro 21.05.2025., 14:21   #6213
Sinac
...samo te gledam...
Moj komp
 
Sinac's Avatar
 
Datum registracije: Mar 2007
Lokacija: Zagreb
Postovi: 2,816
Koliko vidim, skraćena verzija Intelovih planova i svega navedenog je puno filozofiranja oko stvari koje su već sada cca godinu dana u zaostatku prema drugim igračima.

https://www.digitimes.com/news/a2025...atek-data.html
Sinac je offline   Reply With Quote
Staro 21.05.2025., 14:26   #6214
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
Da i dalje je samo puno priče oko nečega kaj bi trebalo stići na tržište u Intelovoj realizaciji, dok su realno u zaostatku nekoliko godina za svima u svakom pogledu.
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5
The Exiled je online   Reply With Quote
Staro 21.05.2025., 14:38   #6215
Sinac
...samo te gledam...
Moj komp
 
Sinac's Avatar
 
Datum registracije: Mar 2007
Lokacija: Zagreb
Postovi: 2,816
Sad će tek doći njihov Tick-Tock model razvoja na naplatu. Koliko spominju restrukturiranja i gašenja segmenata, zaključio bih da im direktorske fotelje stoje na nizbrdici prema izlazu iz sobe.
Sinac je offline   Reply With Quote
Staro 21.05.2025., 14:41   #6216
Dottore
Extrema Thule
Moj komp
 
Dottore's Avatar
 
Datum registracije: Feb 2005
Lokacija: 「 ✖ ✖ ✖ 」
Postovi: 7,614
Ako krenu prodaja mrežnih odjela, ne bude dobro za wifi i LAN za nas koju koristimo.
__________________


HP 845 G8

CPU: AMD Ryzen 7 PRO 5850U
RAM: 32GB SK Hynix DDR4-3200 + 32GB SK Hynix DDR4-3200
SSD: Kioxia/Toshiba XG6 512 GB NVMe M.2
Graphic: AMD Radeon 680M
LCD: 14" Touch Matte IPS @ 1920 x 1080
OS: Windows 11 Pro 24H2

Mice: Logitech MX Master 3S
External storage: uGreen M.2 enclosure USB-C + WD SN570 2 TB

Connected To A1 optical 2 Gbit



Lenovo ThinkPad P15v Gen 3

CPU: AMD Ryzen 7 PRO 6850H
RAM: 64GB DDR5
SSD: Samsung 980 Pro Plus 1Tb NVMe M.2
SSD: Samsung 980 Pro Plus 2Tb NVMe M.2
Graphic: AMD Radeon
LCD: 15" IPS @ 3840x2160
OS: Windows 11 Pro 24H2





Lenovo ThinkPad T14 Gen 3

CPU: AMD Ryzen 7 PRO 6850
RAM: 16GB soldered LPDDR4
SSD: Micron 3400 1 TB NVMe M.2
Graphic: AMD Radeon 680M
LCD: 14" IPS @ 1920 x 1200
OS: Windows 11 Pro 24H2

Mice: Logitech VX Nano
External storage: uGreen M.2 enclosure USB-C + Samsung 980 1TB



Lenovo X1 Carbon 7th Gen

CPU: Intel Core i7-8665U
RAM: 16GB soldered LPDDR3
SSD: Samsung 970 EVO Plus 512 GB NVMe M.2
Graphic: Intel HD 620
LCD: 14" IPS @ 2560x1440
OS: Windows 11 Pro 24H2



Lenovo X1 Carbon 10th Gen

CPU: Intel Core i7-1260p
RAM: 16GB soldered LPDDR4
SSD: Sk Hynix 512 GB NVMe M.2
Graphic: Intel Iris Xe
LCD: 14" IPS @ 1920x1200
OS: Windows 11 Pro 23H2



Dottore je online   Reply With Quote
Staro 21.05.2025., 14:41   #6217
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
Citiraj:
Autor Dottore Pregled postova
Ako krenu prodaja mrežnih odjela, ne bude dobro za wifi i LAN za nas koju koristimo.
Iskreno, lako za to, već bude netko preuzel ko i sve ostalo kaj su dosad rasprodali, ali lagano im s vremenom ponestaje i tog materijala za prodaju.
Citiraj:
Autor Sinac Pregled postova
Sad će tek doći njihov Tick-Tock model razvoja na naplatu. Koliko spominju restrukturiranja i gašenja segmenata, zaključio bih da im direktorske fotelje stoje na nizbrdici prema izlazu iz sobe.


I to kaj veliš, a posebice kad stručLJak zvan Daniel Nenni opet i po ko zna koji put sastavlja analize kak je to bilo u slučaju Pata Gelsingera.

Naravno, sve se provelo po planu i programu, prijašnjeg direktora još nisu prežalili, dok bude za ovog novog dobro, ako ostane do 2027. kad bi po njemu trebali postati profitabilni.
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5
The Exiled je online   Reply With Quote
Staro 27.05.2025., 08:00   #6218
Sinac
...samo te gledam...
Moj komp
 
Sinac's Avatar
 
Datum registracije: Mar 2007
Lokacija: Zagreb
Postovi: 2,816
Citiraj:
Autor The Exiled Pregled postova


I to kaj veliš, a posebice kad stručLJak zvan Daniel Nenni opet i po ko zna koji put sastavlja analize kak je to bilo u slučaju Pata Gelsingera.

Naravno, sve se provelo po planu i programu, prijašnjeg direktora još nisu prežalili, dok bude za ovog novog dobro, ako ostane do 2027. kad bi po njemu trebali postati profitabilni.
Pročitao sam ovo Danielovo filozofiranje odmah kad si ga i linkao, no sad ga po četvrti puta čitam i dođe mi da se zapitam koju travu puše tamo u Intelu ili troše nešto jače jer mi sve izgleda kao da su izgubili doticaj s realnošću. Ako očekuju da ih Trump financira iduće 2-3 godine, prešli su se jer će i Trumpu dop1zd1ti ovakav optimizam i smirenost na kojoj bi i Dalaj-Lama pozavidio dok im svima gori pod nogama.
Sinac je offline   Reply With Quote
Staro 27.05.2025., 14:36   #6219
Tajl3r
Dobro je sve.
Moj komp
 
Tajl3r's Avatar
 
Datum registracije: Sep 2012
Lokacija: 00001
Postovi: 2,426
Nemaju rješenje i proizvod da skine ryzen i ovo šta vrte iz šupljeg u prazno priču je samo njihov posao pa se ne treba čudit ,sve skupa je to jadno i tužno za nas kranje kupce di nemamo druge opcije .


Poslano sa mog SM-S918B koristeći Tapatalk
Tajl3r je offline   Reply With Quote
Staro 27.05.2025., 17:37   #6220
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
IMHO još bi bilo i dobro da im je samo AMD konkurencija, ali u posljednjih deset godina Intel su okružili svi živi, dok istovremeno nemaju ništa konkretno za pokazati, bilo da je u pitanju odgovor na AMD, ARM, nVidiju ili TSMC. Direktori im se samo rotiraju s tim praznim frazama i PowerPoint prezentacijama, ovaj Daniel Nenni očito ima neke žešće nofce uložene u Intel, pa su mu sve "analize" u tom stilu, a sve skupa ni vrit, ni mimo. Na sav glas pričaju o superiornom 18A procesu i onima koji slijede kao da je to skroz gotova stvar, nema ništa bolje, ovo-ono, a onda odu kod TSMC-a i pretplate se na prednarudžbe njihovog 2nm izdanja, tak da kasnije lakše puste brigu na veselje.
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5
The Exiled je online   Reply With Quote
Staro 04.06.2025., 18:24   #6221
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
Citiraj:
Intel "Nova Lake-S" CPU to combine Xe3 and Xe4 IPs for graphics and media
Citiraj:
Intel's "Nova Lake-S" desktop processors are getting the finishing touches, with a likely arrival scheduled for the second half of 2026. As the successor to "Arrow Lake Refresh," Nova Lake-S introduces a modular design that separates graphics and media functions across distinct tiles. This approach builds on experience from "Meteor Lake," which splits its graphics engine from its media and display units onto separate chiplets. For Nova Lake-S, Intel plans to employ two different GPU architectures: Xe3 "Celestial" for graphics rendering and Xe4 "Druid" for media and display duties, all within a single package. Celestial will manage primary 3D rendering and gaming workloads, while Druid will handle display pipelines and hardware-accelerated video encoding and decoding. By utilizing a more advanced process node, such as TSMC's 2nm, Intel can optimize media engine performance without increasing costs for the entire GPU subsystem.

On the CPU side, Nova Lake-S is expected to span four primary SKU tiers. The flagship model could feature 52 cores (16 P-cores, 32 E-cores, and four LPE-cores). A 28-core version may target high-end laptops and desktops with eight P-cores, 16 E-cores, and four low-power E-cores. A 16-core variant could serve both the lower-power desktop and laptop segments, featuring four P-cores, eight E-cores, and four low-power E-cores. Finally, an 8-core entry-level part offers four P-cores and four low-power E-cores. Although it remains uncertain whether all SKUs will combine both Xe3 and Xe4 tiles, Intel's tile-based strategy makes it straightforward to mix and match GPU configurations for different market segments. Rumors also suggest that Intel may use its 18A node alongside TSMC's advanced processes for various tile elements. As Panther Lake mobile parts approach the second half of 2025 and Arrow Lake Refresh prepares for its desktop release, Nova Lake-S is the pinnacle of Intel's advanced chip packaging.
Izvor: TechPowerUp
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5

Zadnje izmijenjeno od: The Exiled. 04.06.2025. u 20:56.
The Exiled je online   Reply With Quote
Staro 05.06.2025., 13:44   #6222
Sinac
...samo te gledam...
Moj komp
 
Sinac's Avatar
 
Datum registracije: Mar 2007
Lokacija: Zagreb
Postovi: 2,816
Jel to sad ispada da ni E jezgre nisu dovoljno štedljive kad uvode LPE?
Ono, znam da su sad naštancali brdo E jezgri unutar CPU-a, ali zar baš moraju ići ciclati na komade i komadiće? Za ovo mi se čini da će barem 2-3 verzije BIOS-a morati izdavati da riješe probleme sa sporom aktivacijom ostalih jezgri pri većem opterećenju, tako da predviđam za prvu generaciju da će biti "prvi mačići se u vodu bacaju".
Sinac je offline   Reply With Quote
Staro 05.06.2025., 23:56   #6223
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
Iskreno, oni sami više ne znaju kaj rade i čemu točno sve te najave služe, jer evo i opet s novim direktorom plove istim kursom.
Citiraj:
Intel draws a line in the sand to boost gross margins — new products must deliver 50% gross profit to get the green light. Lip-Bu Tan is "laser-focused" on getting Intel back to maximizing shareholder value. Intel will not be entertaining any projects that do not promise to double its money going forward. To accomplish this, Tan is also said to be investigating and potentially cancelling or changing unprofitable deals with other companies.
Uopće nema sumnje da će dodatno šparne učinkovite jezgre uvelike pomoći u ostvarivanju zacrtanih ciljeva Intelovog novog vodstva.
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5
The Exiled je online   Reply With Quote
Staro 14.06.2025., 18:14   #6224
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
Citiraj:
Intel tells employees when to expect factory layoffs + Intel Foundry layoffs could impact 'more than 10,000' factory workers
Citiraj:
Intel told its factory workers this month that it will begin laying off workers in mid-July, and that “initial” cuts will conclude by the end of that month. “These decisions are extremely difficult,” the executives wrote, “but they are necessary to help Intel achieve a more competitive market position and to put our company on a solid footing for the future.” Intel will start to lay off its fab personnel at its Silicon Forest campus in Oregon from the middle of July. The first round will conclude by the end of that month, but the company may perform another round of layoffs if it deems necessary. An internal message sent to employees at Intel's production facilities outlined that the company is restructuring its Intel Foundry manufacturing group to make it more focused on engineering and technical roles (i.e., cutting middle management). While no exact figures were provided, the communication acknowledged the seriousness of the decision and claimed it as a necessary step to improve the company's financial position.

Intel did not announce how many positions it plans to cut at Intel Foundry. However, people from other divisions indicated to Oregon Live that they expect similar reductions, though each unit would be allowed to manage the process independently as long as they meet specific cost-reduction targets. The most critical roles, such as engineers who develop and maintain cutting-edge process technologies, and high-skill technicians who manage complex equipment like EUV and High-NA EUV lithography systems, are essential to fab operations and unlikely to be affected by layoffs without risking delays in technology development or production uptime. However, Intel may reduce headcount among roles that have become more redundant due to automation or operational streamlining. These include fab operators, administrative staff, logistics personnel, and lower-skill technicians in highly automated areas.

On the one hand, by targeting non-core functions and consolidating support roles, Intel can cut costs while preserving the technical expertise needed to keep its most advanced manufacturing lines running. On the other hand, cutting lower-skilled personnel may reduce operational flexibility, slow response times during equipment issues, and increase workload for remaining employees. Keeping in mind that these fabs run 24/7, even small delays in maintenance or logistics can lead to costly downtime. Nonetheless, it looks like for Intel, desperate times call for desperate measures.
Izvor: The Oregonian i Tom's Hardware
EDIT:
Citiraj:
Intel "Nova Lake‑S" Series: Seven SKUs, Up to 52 Cores and 150 W TDP
Citiraj:
Expected to arrive in the second half of 2026, Nova Lake‑S will offer configurations ranging from mainstream quad‑core models to a flagship with 52 cores. Initial information suggests that Intel will employ a tile-based design, separating LPE cores from P-Cores and E-Cores to optimize flexibility and yield. At the top of the lineup is the rumored Core Ultra 9 model, possibly designated 385K. It will combine 16 P-cores, 32 E-cores, and four LPE-cores for a total of 52 cores, as previously rumored. With a TDP of 150 W, it will be the most powerful SKU Intel prepared for this generation. Below the flagship, Intel appears to be planning a Core Ultra 7 SKU with 14 P-cores, 24 E-cores, and four LPE cores, totaling 42 cores.
The Core Ultra 5 series may include three variants: a 28-core version with eight P-cores, 16 E-cores, and four LPE-cores; a 24-core version with eight P-cores, 12 E-cores, and four LPE-cores; and an 18-core model with six P-cores, eight E-cores, and four LPE-cores. Entry-level Core Ultra 3 parts would feature either a 16-core configuration with four P-cores, eight E-cores, and four LPE-cores, or a 12-core option with four P-cores, four E-cores, and four LPE-cores, both targeting a 65 W power envelope. All desktop SKUs are expected to feature four LPE cores on a separate die, suggesting a multi-tile package similar to Meteor Lake. Power demands will range from 65 W in entry-level segments to 150 W for high-end parts. Intel is reportedly preparing a new LGA 1954 socket even as it readies an Arrow Lake-S refresh for late 2025. Intel has also reportedly designated Xe3 "Celestial" for graphics rendering and Xe4 "Druid" for media and display duties.
Izvor: TechPowerUp
Citiraj:
Intel showcases 18A node performance: 25% faster and 40% lower power draw
Citiraj:
Intel's presentation at the VLSI Symposium in Japan offered a detailed look at the upcoming Intel 18A process, which is set to enter mass production in the second half of 2025. This node combines Gate-All-Around transistors with the PowerVia backside power delivery network, resulting in a completely new metal stack architecture. By routing power through the rear of the die, Intel has been able to tighten interconnect pitches on critical layers while relaxing spacing on the top layer, improving yield and simplifying fabrication. In standardized power, performance, and area tests on an Arm core sub-block, Intel 18A demonstrated roughly 15% higher performance at the same power draw compared to Intel 3. When operating at 1.1 volts, clock speeds increase by up to 25% without incurring additional energy costs, and at around 0.75 volts, performance can rise by 18%, or power consumption can drop by nearly 40%.
Under the hood, the process features significant cell height reductions: performance‑tuned cells measure 180 nanometers tall, while high‑density designs sit at 160 nanometers, both smaller than their predecessors. The front‑side metal layers have been reduced from between 12 and 19 on Intel 3 to between 11 and 16 on Intel 18A, with three additional rear metal layers added for PowerVia support. Pitches on layers M1 through M10 have been tightened from as much as 60 nanometers down to 32 nanometers before easing again in the upper layers. Low-NA EUV exposure is used on layers M0 through M4, cutting the number of masks required by 44% and simplifying the manufacturing flow. Intel plans to debut 18A in its low‑power "Panther Lake" compute chiplet and the efficiency‑core‑only Clearwater Forest Xeon 7 family. A cost-optimized 17-layer variant, a balanced 21-layer option, and a performance-focused 22-layer configuration will address different market segments.
Izvor: TechPowerUp
Intel 18A proces na papiru izgleda odlično, posebice u usporedbi s planiranim otkazima za ~10.000 ljudi iz toliko im bitnih proizvodnih pogona nikad važnije domaće proizvodnje, ali sva sreća, pa se ljudi iz proizvodnih pogona ne bave nečim važnim, dok se istovremeno slaže opet nova garnitura raznih direktorskih pozicija.
Citiraj:
Intel to outsource marketing to Accenture and AI, resulting in more layoffs
Citiraj:
Employees at Intel's marketing division were informed that many of their roles will be handed over to Accenture, which will use AI to handle tasks traditionally done by Intel staff, reports OregonLive. The decision is part of a company-wide restructuring plan that includes job cuts, automation, and streamlining of execution. The marketing division has been one of Intel's key strengths since the company began communicating directly with end users with the launch of its "Intel Inside" campaign in 1991. However, it looks like the company will drastically cut its human-driven marketing efforts going forward, as it plans to lay off many of its marketing employees, believing that Accenture's AI will do a better job connecting Intel with customers. The number of positions affected was not disclosed, but Intel confirmed changes will significantly alter team structures, with only 'lean' teams remaining. Workers will be told by July 11 whether they will remain with the company.

Among other things, the aim of the restructuring is to free up internal teams to focus on strategic, creative, and high-value projects, rather than routine functions. Therefore, Intel intends to use Accenture's AI in various aspects of marketing, including information processing, task automation, and personalized communications. Intel has acknowledged the shift to Accenture and explained that this will not only cut costs but will modernize its capabilities and strengthen its brand. How exactly the usage of AI instead of real people can reinforce the brand hasn't been explained yet. In messages to staff published by OregonLive, Intel indicated that part of the restructuring may involve existing employees training Accenture contractors by explaining how Intel's operations work. This knowledge transfer would occur during the transitional phase of the outsourcing plan, although it is unclear how long this phase will take.
Izvor: The Oregonian
Citiraj:
Intel winds down automotive division, prepares for major layoffs
Citiraj:
Intel has confirmed plans to phase out its in-house automotive chip team and lay off most of the employees in that segment. In a memo to staff on Tuesday, the company stated that it will honor existing contracts with vehicle manufacturers and suppliers but will essentially dissolve the unit that designed and marketed its small automotive platform. This move supports Intel's new strategy under new CEO Lip-Bu Tan to concentrate on client computing and data center operations. "We are refocusing on our core client and data center portfolio to strengthen our product offerings and meet the needs of our customers," the statement said. "As part of this work, we have decided to wind down the automotive business within our Client Computing Group. We are committed to ensuring a smooth transition for our customers."

Officially, Intel is eliminating excessive management layers, but a CRN report indicates that the company is surprisingly laying off chip design engineers and architects. Among the job categories being eliminated are 22 physical design engineers, three physical design engineering managers, and several logic and product development engineers. The company is also removing roles such as cloud software architects and engineering managers, in addition to positions tied to business and project management, including a vice president of IT and multiple technology strategy leads. Employees in California are engaged in the development of CPU and GPU products.

Intel's automotive division has never generated a major share of revenue, and the company does not report its results separately. Still, Intel highlights that over 50 million vehicles worldwide use its processors for services such as infotainment, electric vehicle management, and driver assistance. Despite that footprint, the division remains small compared with the rest of Intel's diverse chip portfolio. Importantly, the decision does not affect Mobileye, which Intel spun off and took public in 2023. Mobileye continues to operate independently, even though Intel retains nearly all of the voting shares. Intel has already informed its manufacturing staff of plans to cut up to 20% of its workforce starting in July. It will also outsource much of its marketing function to Accenture, relying on AI to manage campaigns. These actions are much needed for Intel's determination to make operations leaner and sharpen its focus on the markets where it remains strongest.
Izvor: The Oregonian i Tom's Hardware
Citiraj:
Intel Nova Lake CPUs to feature bLLC Cache, rivaling AMD 3D V-Cache
Citiraj:
Intel is working on its next generation of desktop processors called Nova Lake, which are expected to include a new cache feature named big Last Line Cache, or bLLC. This technology aims to boost gaming and multitasking performance by increasing the L3 cache size, similar to AMD’s 3D V-Cache found in their X3D processors. Two upcoming Nova Lake models will combine 8 high-performance cores with 4 low-power efficiency cores. One will have 20 extra efficiency cores, and the other 12, both operating with a 125-watt power limit. The bLLC technology already exists in Intel’s Clearwater Forest server CPUs. In those chips, the cache is embedded in a base tile underneath the core tiles, which helps improve performance without causing the heat and clock speed issues that come from stacking cache on top of cores.

This design mirrors AMD’s 9000-series X3D processors, which attach their 3D V-Cache to the bottom of the CPU dies. The Nova Lake-S series is set to launch in late 2026 or early 2027 and will include at least six desktop models using a new LGA 1954 socket. The lineup will range from a high-end Core Ultra 9 485K with 52 cores and a 150-watt TDP, down to a Core Ultra 3 415K with 12 cores running at 125 watts. Out of these, two models are expected to feature the bLLC cache, which should help close the performance gap with AMD’s 3D V-Cache chips in gaming and demanding workloads.
Izvor: Guru3D
Citiraj:
Intel Nova Lake performance leak claims 10% single and 60% multi-threaded uplift
Citiraj:
Potential Intel Nova Lake CPU performance figures are now roaming the internet, stating over 10% ST and 60% MT performance increase. it looks like Intel is aiming for greater than 10% Single-Threaded gains and over 60% multi-threaded performance with its next-gen Nova Lake-S Desktop CPUs. Now, do keep in mind that the 10% figure is for the single-threaded performance, and not IPC. The Nova Lake-S CPUs feature the latest Coyote Cove P-Cores and Arctic Wolf E-Cores, both of which are new architectures and expected to deliver big gains. According to Intel, the chips are being designated as the "Ultimate Performance and Efficiency" package with "Leadership Gaming Performance". So Nova Lake-S might just be able to tackle AMD's current Ryzen lineup, though by the time of its release, the chips will be competing with next-gen Ryzen's based on the Zen 6 core architecture. Intel is expected to launch Nova Lake in 2026. Before that, a refresh of Arrow Lake is still planned, but it may only include a few K-series models.
Izvor: Wccftech
Citiraj:
Intel's new CEO explores big shift in chip manufacturing business
Citiraj:
Intel's new chief executive is exploring a big change to its contract manufacturing business to win major customers in a potentially expensive shift from his predecessor's plans. If implemented, the new strategy for what Intel calls its "foundry" business would entail no longer marketing certain chipmaking technology, which the company had long developed, to external customers. Since taking the company's helm in March, CEO Lip-Bu Tan has moved fast to cut costs and find a new path to revive the ailing U.S. chipmaker. By June, he started voicing that a manufacturing process that prior CEO Pat Gelsinger bet heavily on, known as 18A, was losing its appeal to new customers, said the sources, who spoke on condition of anonymity. To put aside external sales of 18A and its variant 18A-P, manufacturing processes that have cost Intel billions of dollars to develop, the company would have to take a write-off.

Intel declined to comment on such "hypothetical scenarios or market speculation." It said the lead customer for 18A has long been Intel itself, and it aims to ramp production of its "Panther Lake" laptop chips later in 2025, which it called the most advanced processors ever designed and manufactured in the United States. Persuading outside clients to use Intel's factories remains key to its future. As its 18A fabrication process faced delays, rival TSMC's N2 technology has been on track for production. Tan's preliminary answer to this challenge: focus more resources on 14A, a next-generation chipmaking process where Intel expects to have advantages over Taiwan's TSMC. The move is part of a play for big customers like Apple and nVidia which currently pay TSMC to manufacture their chips.

Tan has tasked the company with teeing up options for discussion with Intel's board when it meets as early as this month, including whether to stop marketing 18A to new clients. The board might not reach a decision on 18A until a subsequent autumn meeting in light of the matter's complexity and the enormous money at stake. Intel declined to comment on what it called rumor. In a statement, it said: "Lip-Bu and the executive team are committed to strengthening our roadmap, building trust with our customers, and improving our financial position for the future. We have identified clear areas of focus and will take actions needed to turn the business around." Last year was Intel's first unprofitable year since 1986. It posted a net loss attributable to the company of $18.8 billion for 2024.

Like Gelsinger, Tan inherited a company that had lost its manufacturing edge and fell behind on crucial technology waves of the past two decades: mobile computing and artificial intelligence. The company is targeting high-volume production later this year for 18A with its internal chips, which are widely expected to arrive ahead of external customer orders. Meanwhile, delivering 14A in time to win major contracts is by no means certain, and Intel could choose to stick with its existing plans for 18A. Intel is tailoring 14A to key clients' needs to make it successful, the company said.

Shifting away from selling 18A to foundry customers would represent one of his biggest moves yet. However, according to some industry analysts, the 18A process is roughly equivalent to TSMC's so-called N3 manufacturing technology, which went into high-volume production in late 2022. If Intel follows Tan's lead, the company would focus its foundry employees, design partners and new customers on 14A, where it hopes for a better chance to compete against TSMC.
Izvor: Reuters

OK, sad kad je 18A proces praktički mrtav, kakav im je daljnji plan i program (1 - 2 - 3 - 4 - 5) ili slijedi još jedna promjena glavnog direktora u vječnoj rotaciji nadobunih likova.
Citiraj:
Intel’s former CEO Pat Gelsinger says he underestimated the impact of AI
Citiraj:
Well, Intel's ex-CEO did acknowledge the company's "delayed" response towards AI, as he has now claimed that the impact of the technology was miscalculated at his time. If you look at what Intel has done with AI, it has been nothing short of disappointment, especially in the realm of AI accelerators and rack-scale solutions, since even after several years, Team Blue has failed to present a competitive solution. Sure, the company does offer its Gaudi AI accelerators to the market, but they have witnessed little adoption from cloud companies, which shows that Intel isn't near to competitors at all when it comes to the hottest industry. Now, in a report by Nikkei Asia, it is revealed that Intel's former CEO Pat Gelsinger has admitted to the company's lackluster approach towards AI during his tenure.

Intel's reluctance towards AI is much more evident when Gelsinger initially presumed "inference" to be everything, when nVidia and others were busy with model training. Intel's ex-CEO at that time claimed that the firm was ready to capitalize on the inferencing demand when it came, and even called out CUDA as a "moat". Despite such claims, we never really saw anything from Intel in the AI segment worthy of competing with nVidia, except for their Xeon server CPUs, mainly since they were a "multi-decade" product of Team Blue. Fast forward to what is happening with Intel and AI right now. The company's optimistic accelerator project, Falcon Shores, had been canceled, and the new CEO is looking to enter the rack-scale market with Jaguar Shores. Competitors like nVidia and AMD have already been offering their solutions for many years now, and Intel is nowhere to be seen in an industry that has generated hundreds of billions over the past quarters. And now, Team Blue is struggling to maintain its current business, let alone expand into new frontiers.

Interestingly, Intel's ex-CEO still believes that having an internal semiconductor manufacturing unit is the way to approach product design, despite the financial obligations it carries. Intel has been heavily criticized for its "IDM 2.0" strategy, and it seems like the new CEO, Lip-Bu Tan, is decoupling from it by focusing less on the foundry business and more on design, one of Intel's core businesses. It is certain that we are going to see drastic changes with Intel moving into the future, one that would likely have noticeable consequences.
Izvor: Nikkei Asia
Citiraj:
Intel "Diamond Rapids" Xeon CPU to feature up to 192 P-cores and 500 W TDP
Citiraj:
Intel's next-generation "Oak Stream" platform is preparing to accommodate the upcoming "Diamond Rapids" Xeon CPU generation, and we are receiving more interesting details about the top-end configurations Intel will offer. According to the HEPiX TechWatch working group, the Diamond Rapids Intel Xeon 7 will feature up to 192 P-cores in the top-end SKU, split across four 48-core tiles. Intel has dedicated two primary SKU separators, where some models use eight-channel DDR5 memory, and the top SKUs will arrive with 16-channel DDR5 memory. Using MRDIMM Gen 2 for memory will enable Intel to push transfer rates to 12,800 MT/s per DIMM, providing massive bandwidth across 16 channels and keeping the "Panther Cove" cores busy with sufficient data. Intel planned the SoC to reach up to 500 W in a single socket.

As the first mass-produced 18A node product, Diamond Rapids will be the first to support Intel's APX, also featuring numerous improvements to the efficiency of AMX. Intel also plans to embed native support for more floating-point number formats, such as nVdiia's TF32, and lower-precision FP8. As most of the world's inference is good enough to run on a CPU, Intel aims to accelerate basic inference operations for smaller models, enabling power users to run advanced workloads on CPUs alone. With a 1S, 2S, and 4S LGA 9324 configuration, Diamond Rapids will offer 768 cores in a single server rack, with a power usage of only 2000 W. Supporting external accelerators will be provided via the PCIe Gen 6 connector. Scheduled for arrival in 2026, Intel will likely time the launch to coincide with its upcoming "Jaguar Shores" AI accelerators, making a perfect pair for a complete AI system.
Izvor: TechPowerUp
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5

Zadnje izmijenjeno od: The Exiled. 06.07.2025. u 16:58.
The Exiled je online   Reply With Quote
Staro 09.07.2025., 10:36   #6225
JuiceBox
Xulos :)
Moj komp
 
JuiceBox's Avatar
 
Datum registracije: Sep 2007
Lokacija: Osijek
Postovi: 7,543
__________________
The Rich pays to win. The Poor spends to lose.
JuiceBox je offline   Reply With Quote
Staro 09.07.2025., 11:06   #6226
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 8,130
Nažalost, došlo je do toga da se sad već ozbiljno priča o gašenju kompletnih fabrika, dok im ovi najavljeni i sad realizirani otkazi podižu cijenu dionica, jer eto - što firma lošije stoji, tim bolje za dioničare.
Citiraj:
Intel stock (NASDAQ: INTC) , is trading at $23.59 as investors reacted to new reports of widening layoffs and a company-wide shake-up. Job cuts are hitting several departments, from chip production to the foundry division, as Intel tries to rein in costs and navigate mounting pressure from rivals like AMD and nVidia, all while dealing with a challenging supply chain. According to reports, Intel’s layoffs now go beyond just trimming fat. Entire teams have been dissolved, project pipelines slashed, and R&D resources rerouted.
Citiraj:
Intel layoffs personnel from Fab 28
Citiraj:
Intel has started issuing pre-dismissal notices to workers, signaling the start of layoffs that will affect several parts of its Israeli operations, reports Calcalist. This reportedly includes 200 people who work at the Fab 28 campus near Kiryat Gat, which employs about 4,000 of Intel Israel’s total over 9,000-person workforce, which is expected to stabilize at around 8,500 after the layoffs. Until now, Intel had avoided layoffs in Kiryat Gat due to financial support from the Israeli government and long-standing commitments Intel had made as part of its local expansion plans to the authorities. Yet, desperate times call for desperate measures. Intel secured a $3.25 billion grant from the Israeli government in December 2023 to build its Fab 38 adjacent to Fab 28, only to pause the project in mid-2024. Now, the time has come to lay off personnel at Fab 28. Due to the strategic importance of Fab 28 for Intel and Israel, it had previously been insulated from job losses.

However, it looks like the strategic value of the Kiryat Gat plant appears to have changed. Reports indicate that Intel now views the site as outdated, with its long-term viability potentially in doubt. Internal discussions are said to include the possibility of shutting down operations entirely at that location, according to Ynet. Intel declined to comment on the issue. Intel's Fab 28 produces 'processors, 5G, and AI products' using Intel 7 fabrication process (previously known as 10nm Enhanced SuperFin), according to the company's website. While the fab is rather sophisticated, it does not officially have EUV lithography tools (and possibly other necessary equipment) to build chips on its latest 18A and upcoming manufacturing technologies. While Intel 7 could be used to build a variety of products that do not need the latest production nodes, it may not be the most efficient process for upcoming applications compared to more recent nodes.
Izvor: Tom's Hardware
EDIT:
Citiraj:
Intel is not a top 10 chipmaker anymore, according to new CEO Lip-Bu Tan
Citiraj:
Intel has been in a dire state these past few years, with seemingly nothing going right. Its attempt to modernize x86 with a hybrid big.LITTLE architecture, ŕ la ARM, failed to make a meaningful impact, only made worse by last-gen's Lunar Lake chips barely registering a response against AMD’s cache-stacked X3D lineup. On the GPU front, the Blue Team served an undercooked product far too late that, while not entirely hopeless, was nowhere near enough to challenge the industry’s dominant players. The final nail may have come with Intel’s recent loss of contract manufacturing for its upcoming flagship 18A node. All of this compounds into a grim reality, seemingly confirmed by new CEO Lip-Bu Tan in a leaked internal conversation today.

According to OregonTech, it's borderline a fight for survival for the once-great American innovation powerhouse as it struggles to even acknowledge being among the top contenders anymore. Despite Tan's insistence, Intel would still rank fairly well given its extensive legacy. While companies like AMD, nVidia, Apple, TSMC, and even Samsung might be more successful today, smaller chipmakers like Broadcom, MediaTek, Micron, and SK Hynix are not above the Blue Team in terms of sheer impact. Regardless, talking to employees around the world in a QnA session, Intel's CEO shared these bleak words: "Twenty, 30 years ago, we are really the leader. Now I think the world has changed. We are not in the top 10 semiconductor companies."

As evident from the quote, this is a far cry from a few decades ago when Intel essentially held a monopoly over the CPU market, making barely perceptible upgrades each generation in order to sustain its dominance. At one time, Intel was so powerful that it considered acquiring nVidia for $20 billion. The GPU maker is now worth $4 trillion. It never saw AMD as an honorable competitor until it was too late, and Ryzen pulled the carpet from underneath the Blue Team's feet. Now, more people choose to build an AMD system than ever before. Not only that, but AMD also powers your favorite handhelds like the Steam Deck and Rog Ally X, alongside the biggest consoles: Xbox Series and PlayStation 5. AMD works closely with TSMC, another one of Intel's competitors, as the company makes its own chips in-house.

This vertical alignment was once a core strength for the firm, but it has turned into more of a liability these days. Faltering nodes that can't quite match the prowess of Taiwan have arguably held back Intel's processors from reaching their full potential. In fact, starting in 2023, the company tasked TSMC with manufacturing the GPU tile on its Meteor Lake chips. This partnership extended to TSMC, essentially making the entire compute tile for Lunar Lake—and now, in 2025, roughly 30% of fabrication has been outsourced to TSMC. A long-overdue admission of total failure that could've been prevented had Intel been allowed to make CPUs with external manufacturing in mind from the start. Its own foundry was the limiting factor, and now the rot has already set in.

As such, Intel has been laying off thousands across the world in a bid to cut costs. Costs that have skyrocketed due to the high R&D spending for future nodes, and with the company facing a $16 billion loss in Q3 last year, it can't survive on freeballing anymore. Intel's resurrection has to be a "marathon," said Tan, as he hopes to turn around the company culture and "be humble" in listening to shifting demands of the industry. Intel wants to be more like AMD and nVidia, who are faster, meaner, and more ruthless competitors these days, especially with the advent of AI. Of course, artificial intelligence has been around for a while, but it wasn't until OpenAI's ChatGPT that a second big bang occurred, ushering in a new era of machine learning. An era almost entirely powered by nVidia's datacenter GPUs, highlighting another sector where Intel failed to capitalize on its position.

Intel, instead plans to shift its focus toward edge AI, aiming to bring AI processing directly to devices like PCs rather than relying on cloud-based compute. Tan also highlighted agentic AI—an emerging field where AI systems can act autonomously without constant human input—as a key growth area. He expressed optimism that recent high-level hires could help steer Intel back into relevance in AI, hinting that more talent acquisitions are on the way. “Stay tuned. “A few more people are coming on board,” said Tan. At this point, nVidia is simply too far ahead to catch up to, so it's almost exciting to see Intel change gears and look to close the gap in a different way.

That being said, Intel now lags behind in datacenter CPUs, too where AMD's EPYC lineup has overtaken them in the past year, further dwindling the company's confidence. Also last year, Intel's board forced former CEO Pat Gelsinger out of the company and replaced him with Lip-Bu Tan, who seems to have a very different, more streamlined vision for the company. Instead of focusing on several different facets like CPU, GPU, foundry, and more, at once, Lip wants to home in on what the company can do well at one time.

This development follow's long-stemming rumors of Intel splitting in two and forming a new foundry division that would act as an independent subsidiary, turning the main Intel into a fabless chipmaker. Both AMD and Apple, Intel's rivals in the CPU market operate like this, and nVidia has also always used TSMC or Samsung to build their graphics cards. It would be interesting to see the Blue Team shed off weight and move like a free animal in the biome. However, it's too early to speculate given that 18A, Intel's proposed savior, is still a year away, so until Nova Lake launches, we'll just be witnesses to a new Titanic.
Izvor: Tom's Hardware
Citiraj:
Intel "Nova Lake-S" tapes out on TSMC N2 node
Citiraj:
Intel's next-generation client CPU staple product, "Nova Lake-S", has reportedly taped out of TSMC's fabs in Taiwan. Our previous speculation from the rumor mill suggested that Intel would utilize its own internal 18A node, with help from TSMC's 2nm high-volume manufacturing. According to SemiAccurate, Intel has taped out a compute tile on TSMC's N2 node, meaning that Nova Lake-S will likely utilize a mix of 18A and TSMC N2 for its compute tiles. A possible reason for this decision is that Intel is building a chain of fall-backs to rely on in case its 18A node doesn't deliver, or it anticipates demand so high that its internal manufacturing capacity can't provide. Either way, clients can expect the product to be delivered on time in H2 of 2026, but under the hood, some interesting solutions may be present.

As far as the exact date, the time from a tapeout to final product is months away. Right now, the taped-out silicon tile is being powered on in Intel's labs and tested, running various test cases that stress out the silicon for multiple use cases and check for correctness of operation. Typically, power on takes a few weeks to a month to achieve, and final high-volume manufacturing will commence only a few months later. From that point, another two to three months are needed for manufacturing and shipping the product, meaning that Q3 of 2026 is the most likely target for Nova Lake-S. As a reminder, the CPU will combine 52 cores (16 P-cores, 32 E-cores, and four LPE-cores) paired with 8,800 MT/s memory controller and Xe3 "Celestial" for graphics rendering and Xe4 "Druid" for media and display duties, making it definitely an interesting product, as well as a difficult manufacturing target due to the heterogenous complexity.
Izvor: TechPowerUp
Citiraj:
No more hybrid-core CPUs from Intel by 2028
Citiraj:
Intel is slated to end its hybrid P- and E-core designs in 2028 with the launch of the Titan Lake architecture, by doing so, the brand is set to move back to a unified core design, retiring the short-lived hybrid approaches after seven years of existence, just as users and software/game developers started becoming familiar with its quirks. Intel will pivot away from its hybrid core design debuted back in 2021 with the 12th Gen Core CPUs codenamed Alder Lake. If true, the Razor Lake architecture scheduled for 2027 will be the last hybrid combo running Griffin Cove P cores and Golden Eagle E cores.

These unified cores are said to boast higher PPA (Power, Performance, Area) and PPW (Performance Per Watt), resulting in compact and efficient offerings. However, despite the naming, these cores won’t be all identical. Intel is seemingly following AMD’s footsteps, offering regular and compact variants similar to Zen 5 and Zen 5c. Some could be dense, packed in four-core clusters with a shared L2 cache, while others could clock higher with shared or dedicated L2/L3 caches. The non-dense variants are likely to be found in dual-core clusters, and rarely alone. The choice will depend on the final product and the single-threaded performance required. These unified cores are said to be more power-efficient than P cores, partly due to improvements in manufacturing processes. PPA gains should offset the higher power draw and increase in die size, allowing Intel to squeeze many cores in a small area without throwing efficiency out the window. Silicon_Fly adds that if Intel uses a leading-edge node for Titan Lake alongside the aforementioned compact variant, it could offer up to 100 cores per CPU, split in 48 + 48 + 4 LP E cores.

Ditching the hybrid design should help Intel reduce complexity and cost, the latter being very important as the brand faces financial struggles. Intel would no longer need two parallel teams working on two core designs at the same time, simplifying organisation and removing duplicated engineering efforts. Streamlined R&D department should also result in faster product iteration, transplanting any improvement to all market segments, from laptops to servers. AMD’s homogeneous approach has shown its strengths on Ryzen processors, which allowed the Red Team to provide powerful chips at competitive prices. This also ensured feature parity between platforms, allowing AMD to offer features such as AVX‑512 on consumer products, unlike Intel which started limiting it to the server lineups.

Titan Lake’s unified cores will mark the end of Intel’s hybrid era. Now, whether this transition will result in better overall products is still to be determined.
More details about this unified microarchitecture should emerge with time.
Izvor: Club386
Citiraj:
Intel's chip contracting plan in spotlight on earnings day
Citiraj:
Faced with slumping quarterly sales and a burgeoning loss, Intel shareholders will want to know new CEO Lip Bu-Tan's plans for the chipmaker's nascent contract manufacturing business. Intel is set to report its sixth consecutive net loss on Thursday, while revenue is expected to drop for a fifth straight quarter, according to estimates from LSEG data. The storied chipmaker, once synonymous with America's chipmaking heft, has lagged due to years of strategic missteps. Rival nVidia has leaped ahead in the booming artificial intelligence chip industry, while rival AMD has been gaining share in Intel's mainstay personal computer and server semiconductor markets.

CEO Tan has been focusing on a next-generation chipmaking process called 14A to win big external customers, shifting away from 18A, a technology that his predecessor Pat Gelsinger had spent billions of dollars to develop. Such a move could lead to a big writedown, an expense that would surely displease investors even as Intel has signaled that the new technology will help it be more competitive against Taiwan's TSMC, the world's biggest chipmaking factory. Longer-term commentary on the company's plans for the 14A technology "will hold more weight this earnings call than anything else".

Intel is expected to report a net loss of about $1.25 billion for the April-June quarter, while its sales are expected to drop more than 7% to $11.92 billion. Last year was Intel's first unprofitable year since 1986. Writedowns could amount to hundreds of millions, if not billions, of dollars, according to analysts, and might impact the timeline for the foundry to break even. Intel's finance boss David Zinsner said in May he expected the unit to break even in 2027 and that would require external customers to generate low- to mid-single-digit billions in revenue. Intel's foundry unit is expected to generate $4.49 billion in sales in the second quarter, though a majority of this would come from chips Intel produces for itself, analysts said.
Izvor: Reuters
Citiraj:
Intel reveals it’ll shed 24,000 employees this year and retreat in Germany, Poland, and Costa Rica
Citiraj:
In April, Intel attempted to announce layoffs without announcing layoffs. “We have not set any headcount reduction target,” Intel spokesperson Sophie Metzger told The Verge. But the company has laid off thousands of employees since — and today, in the company’s Q2 2025 earnings, it has revealed that Intel will dramatically shrink as a result of those layoffs. Intel says it will retreat from planned projects in Germany and Poland, end its assembly and test operations in Costa Rica, and finish 2025 with just around 75,000 employees in total.

Since Intel employed 109,800 people at the end of 2024, that means the company is pushing out around 33,000 people this year — shrinking the entire company by roughly one-third. It’s just the latest revelation about how deep Intel’s new CEO Lip-Bu Tan is willing to cut as he attempts to flatten the organization after years of troubles and a lackluster response to the AI boom; in late June, Intel shut down its automotive chipmaking business and revealed it’d lay off up to 20 percent of silicon factory workers; in July, it spun out its RealSense computer vision business. Now, in Costa Rica, where Intel employs over 3,400 people, the company will “consolidate its assembly and test operations in Costa Rica into its larger sites in Vietnam.” In Germany and Poland, where it was planning to spend tens of billions of dollars respectively on “mega-fabs” that would employ 3,000 workers, and on an assembly and test facility that would employ 2,000 workers, the company will “no longer move forward with planned projects” and is apparently axing them entirely.

Intel has had a presence in Poland since 1993, however, and the company did not say its R&D facilities there are closing. (Intel had previously pressed pause on the new Germany and Poland projects “by approximately two years” back in 2024.) The company is also cutting back in Ohio: “Intel will further slow the pace of construction in Ohio to ensure spending is aligned with market demand.” It’s not clear if the layoffs will slow now that we’re over halfway through the year. Intel states today that it has already “completed the majority of the planned headcount actions it announced last quarter to reduce its core workforce by approximately 15 percent,” but 15 percent would not take us close to the headcount of 75,000 that Intel is projecting by year’s end.

So far, partially because of the $1.9 billion that Intel is incurring to do these layoffs and this restructuring, Intel is still losing money this quarter. It’s reporting a $2.9 billion loss on $12.9 billion in quarterly revenue (which is itself flat year over year). Amidst the ongoing AI boom, Intel’s data center business is only up 4 percent year-over-year to $3.9 billion, while its PC chips are down 3 percent to $7.9 billion. Intel’s foundry business where it does chipmaking for other customers is up 3 percent to $4.4 billion. The company says it’s on track to shrink its expenses by $17 billion over the full year, and that at least one of its next flagship laptop chips is on track, too: “The first Panther Lake processor SKU remains on track to begin shipping later this year, with additional SKUs coming in the first half of 2026.” Tan says he’s personally taking on responsibility for each new chip design with a new policy that he says is already in effect: “every major chip design needs to be personally reviewed and approved by me before tape out.”
Izvor: The Verge
Citiraj:
Intel will cancel 14A and following nodes if it can't win a major external customer
Citiraj:
Intel may slow down or even cancel development of its 14A process technology (1.4nm-class) if it fails to land a major external customer for this production node, or if the fabrication process fails to meet crucial milestones. This is the first time Intel has admitted to considering withdrawing from the leading-edge semiconductor technology race for a major node, essentially leaving leading-edge process technologies to TSMC and possibly Samsung Foundry.

The Intel 14A node is planned as the successor to the 18A and 18A-P nodes, and is aimed at both internal products and external clients. However, the company made clear that it may halt or abandon 14A development if it cannot secure a large external partner or achieve critical progress targets. This represents a major shift in Intel's strategy toward market-driven node development, where new technologies must demonstrate commercial viability before being developed and before appropriate tools are purchased to enable high-volume manufacturing.

If Intel ultimately cancels 14A and its successors, it expects to continue building the majority of its products in-house on nodes up to 18A-P through at least 2030. This is expected to support a wide range of offerings, while limiting capital deployment to technologies and fabs. However, it remains to be seen what happens to Intel's margins if its most advanced products are made by external foundries (i.e., TSMC) using their leading-edge nodes.
Izvor: Tom's Hardware
Citiraj:
Citiraj:
Intel has planned to lay off an additional 30% of its workforce, bringing the headcount down to 75,000 employees, they have said to drop out of the cutting-edge chip race (18A/14A process nodes) if they don't see major interests from external customers, and well, their financials are in dire straits. So, where does Intel, along with its newest CEO, Lip-Bu Tan, go from here?
  • First Panther Lake mobile SKU launching in late 2025
  • Panther Lake ramp & more SKUs planned in early 2026
  • Nova Lake aims to bridge high-end desktop CPU gap with AMD
  • Nova Lake launches in late 2026 for mobile and desktop platforms
  • Intel 18A to be the main driver for at least three generations of client/enterprise products
  • Diamond Rapids P-Core CPUs with up to 256 cores in 2H 2026
  • Clearwater Forest E-Core CPUs with up to 288 cores in mid 2026
  • Coral Rapids P-Core to replace Diamond Rapids by 2028-2029
  • SMT coming back to P-Core with Coral Rapids server being the first to reintroduce it
  • Consolidation and building upon x86 CPU and Xe GPUs
  • Intel 14A targets 2028-2029 timeframe, tackles TSMC's A14
U prijevodu, P.L.A.N._i_P.R.O.G.R.A.M. i dalje "napreduje" bez obzira na sve, a novi direktor lično provjerava i po potrebi odobrava sve izmjene i promjene.

Na stranu sad da mu sve dosad rečeno djeluje kao čestitke, želje i pozdravi po uzoru na prijašnje direktore koji su također obećavali konkretne pomake.
Citiraj:
Three senior execs to retire from Intel Foundry, including respected semiconductor veteran Gary Patton
Citiraj:
Intel on Friday informed its staff that three high-ranking executives from its manufacturing arm, Intel Foundry, are set to retire, Reuters reports. The exit of three corporate vice presidents will have a significant impact on the internal structure of Intel Foundry. Two of the three senior executives, Kaizad Mistry and Ryan Russell, are corporate vice presidents in the Technology Development Group. The third is Gary Patton, who served as the CVP and GM of the Design Technology Platform organization in the Technology Development Group. Patton is a well-known and respected semiconductor industry veteran, having served at IBM, GlobalFoundries, and, more recently, Intel. Kaizad Mistry and Ryan Russell have been part of the technical leadership behind Intel's process technology development and were responsible for various aspects of the Technology Development Group, including overseeing the ongoing efforts and setting strategic goals.

Starting late 2024, Garry Patton was promoted to lead all of Intel Foundry's design enablement engineering efforts. As CVP and GM of the newly created Design Technology Platform organization, he was responsible for delivering the full set of design platform solutions required by Intel's Foundry customers. Essentially, he was responsible for developing process design kits (PDKs), validating support for EDA tools, creating IP libraries, and establishing design rules. Fundamentally, his job was to ensure that customer designs developed using Intel's PDKs, EDA tools (well, these came from third parties), and IP were compatible with Intel's process technologies and met performance and power goals, and could be reliably manufactured at high yield. Patton joined Intel after five years at GlobalFoundries and 20 years at IBM Microelectronics.
Izvor: Tom's Hardware
Citiraj:
Intel’s former ‘Inventor of the Year’, who specialized in glass substrates, has now joined Samsung, Team Blue is ready to abandon its core team & vision
Citiraj:
Intel might have lost a major talent with its recent moves, as the company's core employee responsible for glass substrates and the EMIB technology has now joined rival Samsung. Team Blue has made some of the more drastic decisions with the company's structuring and vision in recent times, which are mainly driven by a single unified goal: to reduce operating losses and increase shareholder value. Achieving this objective has brought in cancellation of optimistic projects, large-scale layoffs, and more importantly, key personalities moving from the company, and one such example is Gang Duan, who is a former principal engineer at Intel, responsible for Substrate Packaging Technology. Duan's shift to Samsung shows that Intel's "decades" of work towards a particular technology could very well be abandoned, and while this might benefit them in the short term, it could have serious impacts with time, especially since Intel has failed to remain competitive in any segment for now.
Izvor: Wccftech
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5

Zadnje izmijenjeno od: The Exiled. 01.08.2025. u 22:10.
The Exiled je online   Reply With Quote
Odgovori



Pravila postanja
Vi ne možete otvarati nove teme
Vi ne možete pisati odgovore
Vi ne možete uploadati priloge
Vi ne možete uređivati svoje poruke

BB code je Uključeno
Smajlići su Uključeno
[IMG] kod je Uključeno
HTML je Uključeno

Idi na