View Single Post
Staro 19.04.2025., 10:41   #6211
The Exiled
McG
Moj komp
 
The Exiled's Avatar
 
Datum registracije: Feb 2014
Lokacija: Varaždin
Postovi: 7,989
Citiraj:
Autor maXonja Pregled postova
Intel se još uopće koristi u desktop pc-evima? Tko to kupuje?
Najgore je kaj se i dalje ponašaju kao da je još uvijek 2006., pa sljedeći tjedan izbacuju Conroe koji će pomesti sve pred sobom, ali ovaj put na 18A procesu.

I ove tragikomedije s opet novim socketom i čipsetom dodatno podižu povjerenje u nadolazeću platformu, koja vrlo lako može ispasti i završiti isto ko i Arrow Lake.
EDIT:
Citiraj:
Intel details next-gen 18A fab tech: significantly more performance, lower power, higher density
Citiraj:
Intel is set to detail (PDF) the advantages of its 18A manufacturing technology (1.8nm-class) compared to its Intel 3 fabrication process at the upcoming VLSI Symposium 2025. As expected, the new production node will offer substantial benefits across power, performance, and area (PPA) metrics, thus providing tangible advantages both for client and data center products. Intel claims that its 18A fabrication process delivers 25% more performance at the same voltage (1.1V) and complexity, as well as 36% lower power at the same frequency and voltage of 1.1V for a standard Arm core sub-block compared to the same block fabricated on Intel 3 process technology. At a lower voltage (0.75V), Intel 18A provides 18% higher performance and 38% lower power. In addition, 18A consistently achieves 0.72X area scaling compared to Intel 3.

Intel's 18A manufacturing technology is the company's first node to rely on gate-all-around (GAA) RibbonFET transistors and feature PowerVia backside power delivery network (BSPDN), two features that enable major PPA advantages. Intel is reportedly on track to start high-volume manufacturing of compute chiplets for its codenamed Panther Lake processors for client PCs later this year and then chiplets for Clearwater Forest data center systems in early 2026. In addition, the company is on track to tape out the first third-party designs on 18A in mid-2025.
Izvor: Tom's Hardware
Citiraj:
Intel's "Nova Lake" processors reportedly slated for TSMC's 2nm node
Citiraj:
TSMC is pushing forward its plans to make 2 nm process chips in large quantities in the second half of the year, with major customer developments coming to light. After AMD placed its order, reports suggest Intel has also become one of TSMC's first 2 nm customers aiming to use this cutting-edge technology for its next-gen desktop processors. Intel, already a big TSMC customer for advanced processes sent out key compute tiles for its Core Ultra processors to TSMC using different processes like N3B, N5P, and N6. To be exact, these were Intel Core Ultra 200V "Lunar Lake" series laptop processors and Core Ultra 200S "Arrow Lake" series. While both companies didn't comment on the latest news, industry talk hints that they're cooperating on

Intel's upcoming Nova Lake desktop processor set to launch next year (rumors suggest that it could be the Compute Tile). With the codename "NVL-S" Nova Lake combines two groups of eight high-performance "Coyote Cove" P-cores with 16 "Arctic Wolf" E-cores. It also includes four ultra-low-power LPE cores in a separate SoC tile. It is expected that Nova Lake-S will use LGA 1954, which has 1,954 active lands and might have more than 2,000 total pads when you count debug pins.

TSMC's work on 2 nm technology is moving forward as expected. The company uses first-generation nanochip transistor technology to boost performance and reduce power consumption across process nodes with big clients finishing designing silicon IPs and starting validation steps. AMD shared that its next EPYC "Venice" chip will be the first high-performance computing processor to use TSMC's 2 nm process. AMD validated it at TSMC's Arizona plant and is on track to launch it in 2026. Also, word has it that Apple's future iPhone 18 lineup will have its A20 chip made with the same TSMC 2 nm process.
Izvor: TechPowerUp
Citiraj:
Intel to announce a 20% workforce cut this week
Citiraj:
Intel is preparing to announce plans to cut over 20% of its workforce this week in an attempt to reduce costs and cut down bureaucracy at the chipmaker, reports Bloomberg citing a source with knowledge of the matter. The move to eliminate over 20,000 positions from Intel is a part of the company's revival plan designed by chief executive Lip-Bu Tan. The restructuring aims to simplify operations and refocus the company on engineering drive culture after prolonged business challenges, according to the report. Lip-Bu Tan, who took leadership of the company last month, is pushing to purge excessive layers of management, eliminate redundant positions, and shift Intel back toward a product-focused approach while concentrating on key projects.

This round of layoffs follows a previous reduction of approximately 15,000 positions announced in August 2024. The reductions primarily affected non-engineering roles, including administrative staff, sales, marketing, and support functions. Manufacturing roles and key engineering teams were largely preserved during this round (according to media reports), as Intel pins a lot of hopes on its foundry ambitions and needs to develop competitive products. For now, it is unclear which positions will be cut this time. Intel had 108,900 employees as of December 28, 2024 (which included several thousands of Altera employees who are now employed by an independent company co-owned by Intel and Silver Lake), so the plan includes eliminating over 20,000 positions, a number that exceeds all mid-tier management positions at the company.

It is unclear whether this time the company will cut the number of engineers and projects that they are working on, though this looks like a likely scenario. Intel's quarterly results will offer the clearest look yet at new CEO Lip-Bu Tan's turnaround strategy for the embattled American chipmaker, and investors are hoping for early signs that he is reversing years of strategic lapses. The company is set to post its fourth consecutive quarterly revenue drop on Thursday.
Izvor: Tom's Hardware
Citiraj:
Intel CEO announces layoffs, restructuring, $1.5 billion in cost reductions, expanded return to office mandate
Citiraj:
Intel CEO Lip Bu-Tan announced a series of sweeping measures today, including an unspecified number of layoffs, a company restructuring, the elimination of non-core products, and a return-to-office mandate, as the company presented its first-quarter earnings report. Tan has only been at the helm of Intel for five weeks, but his core message is that the transformation of Intel's culture will be an extended process and requires eliminating the "bureaucracy suffocating the innovation and agility that we need to win."

Intel has not yet specified the number of employees it expects to lay off in the coming months, but did say the company will begin the adjustments in Q2 and will do so over several months. Intel last laid off 15% of its workforce, approximately 15,000 employees, in August 2024. It has been rumored that Intel plans to lay off 20% of its workforce in this round, which could equate to nearly 20,000 more employees. Intel is also reducing its operating expense target by $1.5 billion over the next two years. Intel will reduce its operating expenses to $17 billion in 2025, a $ 500 million cut, and aim for $16 billion in 2026, a further $1 billion reduction.

Tan restructured the upper echelon of the management team late last week, but Tan says he will continue to eliminate more layers of the management structure, noting that "many teams are eight or more layers deep, which creates unnecessary bureaucracy that slows us down." He also noted that one of the key KPIs for managers at Intel has been the size of their team, but he will eliminate that strategy, instead focusing on creating a leaner and more efficient structure.

Tan also noted that the current policy, which requires employees to be on site for three days per week, has not been followed consistently. The company will now require all employees to be in the office for four days per week, effective September 1. The focus on efficiency will also extend to significantly reducing internal administrative work, including eliminating unnecessary meetings and attendees. While Tan has only shared the broad outlines of his plans, they are expansive.

"I’m talking about the opportunity to fundamentally reinvent an industry icon. To pull off a comeback that will be studied in business schools for generations to come. To create new technologies and deploy them at scale to change the world for the better," Tan said. "It’s going to be hard. It will require painful decisions. But we will make them knowing it’s what we must do to serve our customers better as we build a new Intel for the future – and I have great confidence in the power of our team and our people to make it happen."
Izvor: Tom's Hardware
Citiraj:
Intel's biggest foundry customer is Intel itself — fueled by "Intel 7" node
Citiraj:
Intel just reported its Q1 revenue results, and there are interesting tidbits to dissect from the earnings call. Interestingly, Intel Foundry, long touted for a comeback, is generating most of its revenue from one customer and not the latest node. Intel's biggest customer is actually itself, with the predominant usage of the "Intel 7" node, which is Intel's version of 10 nm SuperFin node designed for "Alder Lake" and "Raptor Lake" consumer CPU generations, and "Sapphire Rapids" Xeon server generation. As Intel ramps up its 18A node production and external clients are getting their ASICs designs tested, the 18A is still not the major revenue driver of the Intel Foundry division. However, the demand for Intel 7 node is driven by the massive uptick in orders for Intel's 13th and 14th generation Raptor Lake processors. Tan on Thursday gave glimpses of his plans to reanimate Intel's culture of innovation by focusing on core engineering, stripping away unnecessary administrative work and cutting workforce. Tan did not provide much detail on how he will restore Intel's leadership position in manufacturing, nor on his plans to attract more external customers to the company's foundry. Tan remains focused on the contract manufacturing business and has recently met rival TSMC's CEO to discuss how the two companies could collaborate.
Izvor: TechPowerUp
Citiraj:
“No Quick Fixes” as Intel losses and restructurings continue
Citiraj:
Intel’s new chief executive officer, Lip-Bu Tan, has his work cut out for him, just like his predecessor, Pat Gelsinger, did several years ago. And given the even worse state that Intel is in – generating less cash on lower sales and therefore making it that much harder to raise cash to invest in the foundry that can make better chips in the future – Tan is in a might tighter spot than Gelsinger ever was. That said, the situation with Intel right now is not pretty, even if it will improve financially with layoffs and a flattening of the hierarchy of the company that Tan is now imposing and that could result in as much as 20 percent of the company being laid off on top of the 15 percent that have already been let go.

By the time Intel fixes this, half of the world’s CPUs will be homegrown arm chips being manufactured by the hyperscalers and cloud builders, and the remaining half could be split evenly between Intel and AMD. A decade ago, Intel had north of 97 percent revenue share for server CPUs. And it really does not have an AI accelerator that it can afford to make in volume and sell at a competitive price that customers want to buy, after two such attempts so far: the “Knights” family of many-core HPC processors from a decade ago and what we will call the Xe family of GPUs that have been installed in the “Aurora” supercomputer at Argonne National Laboratory and pretty much nowhere else. The latter was supposed to be converged with the “Gaudi” family of AI accelerators about now with “Falcon Shores” devices, and now the future is being pinned by an as-yet undefined “Jaguar Shores” accelerator further out in the future.
Izvor: The Next Platform
Citiraj:
Intel Foundry Roadmap Update - New 18A-PT variant that enables 3D die stacking, 14A process node enablement
Citiraj:
Intel's new CEO Lip Bu-Tan took to the stage at the company's Intel Foundry Direct 2025 event here in San Jose, California, to outline the company's progress on its foundry initiative. Tan announced that the company is now engaging lead customers for its upcoming 14A process node (1.4nm equivalent), the follow-on generation of its 18A process node. Intel already has several customers with plans to tape out 14A test chips, which now come with an enhanced version of the company's backside power delivery technology dubbed PowerDirect. Tan also revealed that the company's crucial 18A node is now in risk production with volume manufacturing on schedule for later this year.

Intel also revealed that its new 18A-P extension, a high-performance variant of the 18A node, is now running through the fab with early wafers. Additionally, the company is developing a new 18A-PT variant that supports Foveros Direct 3D with hybrid bonding interconnects, enabling the company to stack dies vertically on top of its most advanced leading-edge node. The Foveros Direct 3D technology is a key development because it provides a capability that rival TSMC already uses in production, most famously in AMD's 3D V-Cache products. In fact, Intel's implementation matches TSMC's offering in critical interconnect density measurements.
Izvor: Tom's Hardware
Citiraj:
Intel has limited customer commitments for latest chip manufacturing tech, CFO says
Citiraj:
The volume of processors Intel is set to produce for external customers using its upcoming manufacturing technology is currently "not significant", finance chief David Zinsner said on Tuesday. Committed volumes, or the amount of external customers' chips set to be produced by Intel using upcoming manufacturing tech, is presently not significant, Zinsner said at J.P. Morgan's Global Technology, Media and Communications conference being held in Boston, Massachusetts. Santa Clara, California-based Intel is striving to become a contract manufacturer of chips, but has struggled to progress with its 18A and latest 14A chip manufacturing technologies. However, last month the company said several customers planned to build test chips for the forthcoming process. "We get test chips, and then some customers fall out of the test chips... So committed volume is not significant right now, for sure," Zinsner said. The contract manufacturing unit, called foundry, is on track to break-even sometime in 2027 and would require external customers to generate low to mid-single digit billions in revenue to achieve that, Zinsner added.
Izvor: Reuters
__________________
AMD Ryzen 9 9950X | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x4TB WD Red Plus | Fractal Define 7 Compact | Seasonic GX-750
AMD Ryzen 5 7600 | Noctua NH-U12A chromax.black | MSI MAG B650 Tomahawk Wi-Fi | 128GB Kingston FURY Beast DDR5-5200 | 256GB AData SX8200 Pro NVMe | 2x12TB WD Red Plus | Fractal Define 7 Compact | eVGA 650 B5

Zadnje izmijenjeno od: The Exiled. 14.05.2025. u 08:37.
The Exiled je online   Reply With Quote